基于FPGA的DDS信号波形发生器设计与实现论文_汪正勇,陈万培,汤强,张正华,何德华,谢云真

扬州大学 信息工程学院 江苏扬州 225127

摘要:直接数字频率合成(DDS)是基于奈奎斯特抽样定理理论和现代器件生产技术发展的第三代频率合成技术。与第二代基于锁相环频率合成技术相比,DDS具有频率切换时间短、频率分辨率高、相位可连续变化和输出波形灵活等优点。因此,广泛应用于教学科研、通信、雷达、自动控制和电子测量等领域。但DDS专用器件价格较贵,输出波形单一,使用受到一定限制,特别不适合输出波形多样化的应用场合。本文详细介绍在单一FPGA上开发出性能优良的具有任意波形的DDS系统,运用当前应用最广泛的Verilog HDL硬件语言作为编程语言。

关键词:DDS;FPGA;任意波形发生器;Verilog HDL硬件语言

0 引言

信号波形发生器广泛应用于通信、雷达、测控、电子对抗以及现代化仪器仪表等领域,是一种为电子测量工作提供符合严格技术要求的电信号设备,为最基本也是应用最广泛的电子仪器之一,几乎所有电参量的测量都要用到波形发生器。随着现代电子技术的飞速发展,现代电子测量工作对波形发生器的性能提出了更高的要求,不仅要求能产生正弦波、方波等标准波形,还能根据需要产生任意波形,且操作方便,输出波形质量好,输出频率范围宽,输出频率稳定度、准确度及分辨率高,频率转换速度快且频率转换时输出波形相位连续等。一般传统的信号发生器都采用谐振法,获得所需频率。虽然具有输出信号频率范围宽、结构简单等优点,但输出波形单一,不能产生任意波形,且频率稳定度和准确度较差,频率准确度一般在0.5%以下,对于作为精密测量用的信号发生器,其频率稳定度达不到指定的要求。

因此,传统的信号源越来越不能满足现代电子测量的需要,正逐步退出历史舞台。而基于直接数字频率合成技术(DDS)制成的信号发生器,由于可以获得很高的频率稳定度和精确度,因此发展非常迅速,尤其是近来随着现代电子技术的不断发展,其应用更是有了质的飞跃。

1 系统总体设计

本系统将现场可编程逻辑器件FPGA和DDS技术相结合,以FPGA开发平台为核心,将各波形的幅值/相位量化数据存储在ROM内。按照设定频率,以相应频率控制字k为步进,对相位进行累加,以累加相位值作为地址码读取存放在存储器内的波形数据,经D/A转换和幅度控制、滤波即可得到所需波形。波形发生器采取全数字化结构,用硬件描述语言Verilog设计实现其频率可调可显示。经开发平台的D/A转化和外加滤波整形处理波形数据,理论上能够实现任意频率的各种波形。

本系统整体外部硬件包括四个部分:FPGA核心板、D/A转换模块、低通滤波器和调幅电路。总体框架结构如图一所示。

图一、总体框架结构图

2 系统关键技术

2.1 FPGA上的DDS实现原理

DDS基本工作原理是建立在采样定理基础上,首先对需要产生的波形进行采样,将采样值数字化后存入存储器作为查找表,然后通过查表读取数据,再经D/A转换器转换为模拟量,将保存的波形重新合成出来。

本系统就是根据上面的原理在FPGA开发平台上设计的数字控制频率合成器,如图二所示。系统按工作原理和控制对象的先后分为三个功能单元:波形数据产生单元、D/A转化单元和滤波整形处理单元。波形数据产生单元除具有波形数据输出功能外,还有频率设置和幅值调节功能。波形信号频率可设置范围为0-100Mhz,D/A转换单元负责对从ROM表里读取的波形数据进行D/A转换,对D/A转换器件的选用从建立时间、位数、转化误差和转换时间等四个方面考虑。滤波整形处理单元完成对D/A转换的模拟波进行平滑,滤除杂波和高频干扰,补偿频带损耗和幅度损失,最终输出低误差、高质量、满足要求的波形。

波形数据产生单元按功能实现上的相互联系可划分为频率控制字生成模块、相位累加器模块和波形数据ROM表模块。其中,频率控制字生成模块可根据输入产生指定频率字,同时显示输入频率数字。相位累加器模块负责对所选波形的相位寻址,以频率控制字作为步长反复进行累加运算。波形数据ROM表模块存放三种波形的幅值/相位量化值,通过地址选择相应波形的数据。图三为软件编程所实现的数字电路,其中系统时钟fs为100MHz,频率控制字Fre_control为20位,相位累加器N为24位。设M为频率控制字的值,则输出频率fo为:fo=fs*M/2^N。图中的wave是3位变量,控制着各种输出波形的种类

2.2 D/A转换单元

数/模转换单元是继波形数据产生单元之后,将数字量形式的波形幅值转换成所要求的合成频率的模拟量形式信号。DAC输出信号实际上是阶梯模拟信号,需在数/模转换后利用低通滤波器对波形进行平滑处理,使得数字信号变为平滑的模拟信号。

2.3 滤波处理和电路放大单元

滤波器是一种能通过有用频率信号而同时抑制(或衰减)无用频率信号的电子装置。由于运算放大器具有近似理想的特性,且可以省去电感,得到接近理论预测的频率响应特性。构成有源滤波电路后还具有一定的电压放大和缓冲作用,并能减小体积。通过DA转换和低通滤波,使得数字信号变为平滑的模拟信号,再经过前级差分放大电路和幅度调节电路,产生标准的输出信号。

4 实际效果

通过对系统进行实际测试,可以任意添加所需波形的查找表,产生对应的波形,从原理上实现了任意波形的产生,达到了预期设计效果。

5 结束语

本系统利用FPGA实现了DDS的设计,产生了正弦波、三角波、方波以及任意两种组合波形。频率范围达到6Hz-10MHz,最小步进值低,步进值可调范围是6Hz-10MHz,输出阻抗50欧姆,输出信号幅度范围是400mVpp-4Vpp,基本满足市场上出售的信号发生器的指标。同时,极大降低了成本,具有非常好的应用前景。但由于受原理、器件等因素限制,本系统仍存在一些不足,如采样率和采样精度还不高,需要进一步学习研究。

参考文献

[1]夏宇闻.Verilog数字系统设计教程[M].北京航空航天大学出版社.

[2]陈曦,黄毅.片上系统设计思想与源代码分析[M].电子工业出版社.

论文作者:汪正勇,陈万培,汤强,张正华,何德华,谢云真

论文发表刊物:《基层建设》2017年第28期

论文发表时间:2018/1/3

标签:;  ;  ;  ;  ;  ;  ;  ;  

基于FPGA的DDS信号波形发生器设计与实现论文_汪正勇,陈万培,汤强,张正华,何德华,谢云真
下载Doc文档

猜你喜欢